News

News

Contact Us

You are here:Home >> News >> Industry information...

Industry information

Photolithography battle kicks off third wave

Time:2023-09-09 Views:349
At present, the status of photolithography in the semiconductor industry has never been more important, and has broken through the technical and industrial categories, triggering a new wave of photolithography dispute.
From the historical development, the development and application of photolithography (here mainly refers to the photolithography used in the manufacture of integrated circuits before the process) has experienced many twists and turns, in general, there are two periods of concern, one is ASML rely on immersion technology, the emergence of a new generation, and will be the original industry two strong behind, this is the battle of the technology, and the other is after the commercialization of EUV, the advanced process (from 16nm) battle started, TSMC, Samsung Electronics, and the industry, and the battle of the new wave of photolithography. The other is after the commercialization of EUV, advanced process (from 16nm) war started, TSMC, Samsung Electronics and Intel, the three in order to compete for the limited production of EUV and competition, this is the commercial battle.
From the current situation, the third wave of lithography battle is brewing, which is more complicated and intense than the first two waves.

Wave 1: Against All Odds
In 1957, Jay Lathrop and James Nall of a U.S. Army laboratory patented photolithography, a technique for depositing thin film metal strips to connect discrete transistors on ceramic substrates. 1959 saw Lathrop join Texas Instruments and Nall go to Fairchild Semiconductor. (Jay Last and Robert Noyce built the first "step-and-repeat" cameras at Fairchild Semiconductor in 1958, using photolithography to create many transistors on a single wafer. many transistors on a single wafer using photolithography. This was the beginnings of the photolithography machine.
In the 1980s, in the field of global photolithography, the industry leader was the U.S. company GCA, however, due to the rush to deliver equipment to customers, there was no inspection of the product, resulting in hundreds of products with faulty lenses to the market. Almost at the same time, Nikon of Japan improved the focusing system of its photolithography machines by developing g-line eyepieces with a larger numerical aperture, a combination that enabled the system to more clearly image tiny patterns onto the photoresist. This innovation allowed Nikon to quickly take over the market, and customers abandoned GCA‘s photolithography, which soon declined.
During the same period, Canon also introduced market-recognized products, and with Nikon became the two powerhouses in the photolithography world at the time.
Meanwhile, with its success in step scan lithography, ASML gradually caught up, especially with its signature product, the PAS 5500, which was well received by the market. After years of hard work, ASML has come to the ranks of giants in the era of step scan lithography.
However, at that time, ASML‘s position in the industry was not as prominent as it is now, slightly inferior to Nikon and Canon.
ASML‘s domination of the lithography industry stems from the upgrade process from 193nm to 157nm. Before that time, step scanning lithography used a dry method (exposure medium is air) technology route, through the use of more advanced exposure light source, to support the technological progress. In order to pursue higher resolution, the wavelength of the light source went from the initial 365nm, to 248nm, to 193nm, after which it was difficult to go on with this technology route.
At that time, the industry was faced with two choices of technological improvement and subversion. The two giants, Nikon and Canon, chose to improve on the original technological path, while ASML chose to gamble on the emergence of a new immersion technology.

Immersion technology was proposed by Benjamin Lin, then a scientist at TSMC, who creatively used water as the exposure medium, still using the original 193 nm wavelength light source, but through the refraction of water, the wavelength into the photoresist can be narrowed down to 134 nm. The refractive index of the 193 nm light source in air is 1, and the refractive index in water is 1.4, which means that under the same light source conditions, the immersion photolithography resolution can be increased by a factor of 1.4 under the same light source conditions.
However, this technology seemed too bold, technically difficult and costly at the time, and most people with vested interests in traditional lithography were unwilling to accept it. In order to promote immersion technology, Lin Benjian traveled to the U.S., Japan, Germany, and the Netherlands to sell his idea to photolithography machine manufacturers, but he met with a rebuff. Most of the industry giants were unfriendly to Lin, and Nikon even pressured TSMC to "block" him.
Under these circumstances, Lin put his last hope on ASML, and the latter did not let him down. When the technology and the industry reached a fork in the road, ASML chose a disruptive and innovative technology, which turned out to be a winning bet.
In 2003, the first immersion lithography equipment, TWINSCAN XT:1150i, developed by ASML and TSMC, was launched, and an improved version was introduced the following year. In the same year, Nikon, which had been slow to develop, finally launched a 157nm dry lithography product prototype.
One is with the original 193nm light source through the water evolution to 132nm wavelength of the new technology, the other is the 157nm wavelength prototype, the advantages of submerged technology is very obvious, this technology has become the mainstream lithography program since then 65nm, 32nm, 16nm and 7nm process production line, until the current 3nm.
Choice is greater than effort, ASML chose right, Nikon and Canon chose wrong. The market quickly embraced immersion lithography, the traditional dry method products can only be parked in the warehouse to eat dust, which makes Nikon and Canon tens of billions of dollars of R & D costs hit the water, the market share has also plummeted. In the 15 years before 2000, ASML is the smallest player in the first echelon of photolithography, market share of less than 10%, with the commercialization of immersion lithography, by 2008, ASML‘s market share reached 60%, a one-trick pony.
The technology-driven first wave of the lithography battle ended with ASML winning big.


The second wave: scramble
After the mass production of 16nm and 14nm process chips, whether it is DUV, or EUV, ASML‘s mid- to high-end lithography has always been the meat and potatoes of the market, TSMC, Samsung Electronics, Intel, as well as a few major foundries in mainland China, every year, to compete for that limited number of lithography.
In recent years, with the mass production of 7nm, 5nm and 3nm process, TSMC, Samsung Electronics and Intel on EUV equipment is increasingly fierce competition.
It is reported that TSMC has about 60 EUV lithography machines, more than 50% of the total EUV equipment shipped on the market. With 2nm R&D and fab construction work underway, TSMC is putting higher demands on high NA (numerical aperture) EUV equipment, placing orders early to get the upper hand with ASML.
Samsung is also snapping up high-NA EUV, and asked ASML to pull the equipment directly into Samsung‘s factory for testing, creating the first instance of ASML shipping directly to a customer‘s factory for retesting. Currently, Samsung‘s EUV lithography number is only about 60% of TSMC‘s, or even less, and in 2022, Samsung purchased about 18 EUV devices. 
In 2021, Intel announced its return to the foundry market, and in July of the same year, announced the launch of advanced process technology blueprint, plans to launch five new generations of chip process technology in the next four years. In order to achieve this goal, Intel is also competing for ASML‘s state-of-the-art EUV lithography machine, and in the second half of 2021, Intel announced that it had ordered ASML‘s TWINSCAN EXE:5200 ahead of TSMC and Samsung, an EUV machine with an NA of 0.55 that is being developed by ASML, with a single price of $300 million, and with a throughput reportedly exceeding 220 wafers per hour. Wafers. According to ASML‘s planning, TWINSCAN EXE:5200 will be put into use as early as the end of 2024 for verification, and in 2025 for chip mass production.
To meet the evolving advanced process, ASML is developing more advanced EUV lithography machines, mainly in high NA.
High NA EUV devices have higher resolution, which can increase chip density by several times, as well as reduce defects, cost and chip production cycle time. With the new EUV devices, the NA will be raised from 0.33 to 0.55 for higher resolution patterning. Compared to the 0.33NA lithography, the 0.55NA resolution has been upgraded from 13nm to 8nm, allowing for faster and better exposure of more complex IC patterns, breaking the limit of the 0.33NA‘s 32nm~30nm pitch for a single composition.
Although the semiconductor market downturn in 2023, global chip makers including TSMC, Intel, Samsung, SK Hynix, Micron, are still actively investing in EUV equipment. TSMC and Samsung will expand 3nm capacity in 2024, Intel will be the end of this year mass production of the first Intel 4 process chips using EUV technology.
ASML said, on the current mainstream 0.33NA, 2021, the foundry‘s 5nm process, the average photomask per wafer is about 10 layers, but with the mass production of 3nm in 2023, the average photomask per wafer reached 20 layers.
DRAM, the current use of EUV technology can be realized in mass production of 5-layer photomask, but in 2024 will be upgraded to 8-layer photomask, part of the process will be used in multiple exposure (multi-patterning), the photomask of each wafer will reach 10 layers.
According to ASML statistics, as foundries and DRAM factories expand EUV capital expenditure, to the first quarter of 2023, the company has shipped 136 EUV lithography.
This week, ASML CEO Peter Wennink said the industry‘s first EUV device with a numerical aperture of 0.55, the TWINSCAN EXE:5000, is expected to launch this year, although the device will be used primarily for research and development to familiarize the company‘s customers with the new technology and its capabilities. As noted earlier, each such device would cost more than $300 million.

This year, ASML will ship its TWINSCAN EXE: 5000 device to an undisclosed customer, which is likely to be Intel, as the company has previously announced that it plans to begin high-volume manufacturing (HVM) with its high-numerical aperture TWINSCAN EXE device starting in 2025, when it intends to begin using its 18A (~1.8nm) process technology. To that end, Intel has been experimenting with high numerical aperture lithography equipment since 2018, when it pre-ordered the TWINSCAN EXE: 5000 and also ordered a commercial version of the TWINSCAN EXE: 5200.
TSMC and Samsung will use EUV equipment with an NA of 0.55 a little later than Intel, but no later than 2030.
As far as 2023 is concerned, Mehdi Hosseini, senior analyst at Susquehanna International Group, said that due to the need for multiple exposures with EUV equipment, TSMC‘s 3nm process cannot really be released into production until the new NXE:3800E, which has a higher throughput, is available due to cost considerations. Currently, TSMC is using the NXE: 3600D, which can produce 160 wafers per hour (wph).
ASML will launch the new high-NA NXE: 3800E at the end of this year. By reducing the total cost of EUV multi-exposure, the NXE: 3800E can produce 195 wafers per hour, and after a period of optimization, it can be boosted to 220 wafers per hour, with a 30% increase in throughput over the NXE: 3600D.

The Third Wave: Rising
After the U.S. introduced a decree banning the sale of mid- to high-end DUV and EUV equipment to mainland China, the third wave of the lithography battle has quietly arrived, which includes restrictions and counter-restrictions, as well as a new wave of lithography development and self-research.
At present, mainland Chinese foundries have been unable to purchase lithography machines specifically designed for the production of advanced process chips below 14nm. If older versions of DUV equipment are used to produce 14nm and 7nm chips, multiple exposures are required, and the cost will rise sharply, and yields will be difficult to improve.
The Netherlands‘ latest semiconductor equipment export control measures came into effect on September 1, further restricting the export of DUV equipment for mature processes to mainland China, some involving the 38nm-45nm process.
This week, ASML said it had applied for an export license for the TWINSCAN NXT:2000i and subsequent immersion lithography machines from the Dutch government, which has issued the required licenses as of Sept. 1, allowing ASML to continue to ship the TWINSCAN NXT:2000i and subsequent equipment to Chinese customers through the end of this year. However, the company predicts that after January 2024 it will no longer be able to obtain the relevant export licenses.
On September 4, ASML CEO Peter Wennink expressed his views on the export controls and protectionism the company is facing on a TV program.
Peter Wennink emphasized that completely isolating mainland China through export controls is not a viable approach. This is indirectly illustrated by the breakthrough achieved by the chip in Huawei‘s Mate 60 Pro, and these restrictions are actually pushing mainland China to redouble its efforts to innovate. If Europe and the United States aren‘t willing to share technology, mainland China will do its own research, he said, and they‘re considering solutions that Western companies haven‘t yet considered. The restrictive policies of Western governments are stimulating the spirit of innovation and creativity in mainland China.
Peter Wennink warns that mainland China will devise new technologies and products that could spark a race with global implications.
The Wall Street Journal has previously reported that when the U.S. upgraded its chip restriction in October 2022, mainland Chinese firms imported only $2.4 billion in semiconductor equipment, the lowest figure since the U.S. ban was introduced more than two years ago. This means that China‘s semiconductor equipment is trying to get rid of its dependence on imports, and the process of autonomy is speeding up.
  • Previous:  2022/10/19
  • Next:Suddenly, Samsung China Layoffs  2024/09/04
  • Address:5406-5406B, 54th Floor, SEG Plaza, Huaqiangbei Street, Futian District, Shenzhen

    Tel:131-2899-0370

    QQ:2881438908

    E-mail:elan@casi-ic.com

    About Us
    Company Profile
    Quality Control Management
    Service Support
    CASI Core Classroom
    Application
    Industrial Chips
    Automotive chips
    Medical Chips
    Communication Chips
    News
    Company News
    Industry information
    Contact Us
    Contact Information
    Online Message
    • WeChat
    • Mobile station

    Subscribe to receive our related messages

    ©2022 CASI Semiconductor (Shenzhen )Co., Ltd.